La technologie derrière l’interconnexion de puces M1 UltraFusion d’Apple

La construction de microprocesseurs hautes performances devient de nos jours plus délicate et plus coûteuse, c’est pourquoi les développeurs doivent opter pour des technologies de conditionnement sophistiquées avec des conceptions destinées aux applications gourmandes en performances. Apple admet que pour fabriquer son processeur M1 Ultra, il a dû fusionner deux systèmes sur puces M1 Max, mais ce qu’il n’a pas dit, c’est qu’il devait utiliser l’une des technologies d’emballage les plus avancées de TSMC pour construire le M1 Ultra.

Heureusement, des sources non officielles sont moins secrètes qu’Apple et ont pu déterrer des détails supplémentaires sur l’interconnexion interprocesseur UltraFusion d’Apple qui offre une bande passante de 2,5 To/s. DigiTimes rapporte que le processeur M1 Ultra d’Apple* a utilisé le processus de packaging 2.5D basé sur l’interposeur CoWoS-S (puce sur plaquette sur substrat avec intercalaire en silicium) de TSMC pour construire le M1 Ultra. Des technologies similaires sont utilisées par des entreprises comme AMD, Nvidia et Fujitsu pour construire leurs processeurs hautes performances pour les centres de données et le calcul haute performance (HPC).

Le M1 Ultra d’Apple est certainement un design formidable. Chaque SoC M1 Max présente une taille de matrice de 432 mm2, de sorte que l’interposeur utilisé par le M1 Ultra doit être supérieur à 860 mm2. C’est assez massif mais pas inconnu. AMD et Nvidia utilisent des interposeurs encore plus grands avec leurs GPU de calcul dotés d’une mémoire à large bande passante.

* – Nous ne savons pas exactement comment appeler le M1 Ultra. Techniquement, il s’agit d’un système sur puce dans un package, ou SoCiP, mais cela peut être un peu long, nous l’appellerons donc simplement un « processeur » pour l’instant.

(Crédit image : Apple)

Mais le CoWoS-S de TSMC n’est pas la seule option dont dispose le plus grand fabricant de semi-conducteurs au monde pour les applications gourmandes en bande passante. Certains experts ont émis l’hypothèse qu’Apple pourrait opter pour la technologie InFO_LSI de TSMC pour l’intégration de puces à bande passante ultra-élevée. Contrairement à CoWoS-S, InFO_LSI utilise des interconnexions de silicium localisées au lieu d’interposeurs volumineux et coûteux. Le pont d’interconnexion de puces intégré (EMIB) d’Intel utilise le même concept.

En gardant à l’esprit qu’Apple a fait la démonstration d’un die shot M1 Max avec un bloc d’E/S massif qui ressemble à une interconnexion locale conçue pour se connecter à une puce intermédiaire, il n’est pas surprenant que beaucoup de gens aient pensé qu’Apple utilisait InFO_LSI.

(Crédit image : TSMC)

Mais il y a une raison pour laquelle Apple a peut-être collé au CoWoS-S potentiellement plus cher. L’InFO_LSI de TSMC a été officiellement introduit en août 2020 et devait terminer la qualification au premier trimestre 2021. Pendant ce temps, le M1 Max d’Apple devait entrer en production en volume au deuxième ou au troisième trimestre 2021, de sorte qu’Apple n’a peut-être tout simplement pas eu assez de temps pour mettre en œuvre InFO_LSI. Cela ou il a décidé de ne pas prendre de risque et de s’en tenir à une technologie bien connue et largement utilisée par diverses entreprises.

(Crédit image : Apple)

Un autre élément qui DigiTimes divulgue est qu’Unimicron Technology est désormais le seul fournisseur de substrats ABF (Ajinomoto build-up film) à Apple, car c’est la seule entreprise capable de fournir la qualité et la quantité dont Apple a besoin. Quoi qu’il en soit, bien que nous sachions maintenant quelle technologie d’emballage Apple a utilisée pour activer son interconnexion UltraFusion, nous n’avons toujours aucune idée de ses horloges, de sa largeur de bus, de sa puissance, etc., alors restez à l’écoute.

Source-138